sudo apt-get install iverilog iverilog用於編譯,進行語法檢查,生成可執行文件
sudo apt-get install gtkwave vvp根據執行文件,生成仿真波形文件 gtkwave用於打開仿真波形文件,波形圖形化。
首先介紹iverilog中比較常用的參數
1.-o 用於指定生成文件夾的名稱 例:iverilog -o test test.v
2.-y用於指定包含文件夾。 例:iverilog -y D:/test/demo(不同目錄下被調用模塊的路徑) led_demo_tb.v 若編譯文件與調用文件在同一目錄下,使用 ./ + tb模塊名即可。
3.-I 如果程序使用`include語句包含了頭文件路徑,可以通過-i參數指定文件路徑,使用方法和-y參數一樣。
在tb中需要加入iverilog編譯器專用的語句,不加后面不能生成vcd文件。
initial
begin
$dumpfile("wave.vcd"); //生成的vcd文件名稱
$dumpvars(0, led_demo_tb); //tb模塊名稱
end
完整實例:
①iverilog -o wave 若有調用則-y
②vvp -n wave -lxt2 //生成vcd文件 若沒有生成,檢查tb文件中是否有上述所提到的幾行代碼
③gtkwave wave .vcd 觀看仿真波形