Linux下的安裝
Linux下的安裝,以Ubuntu 16.04為例,可以通過apt-get直接安裝。
- 安裝iverilog:
sudo apt-get install iverilog
- 安裝GTKWave:
sudo apt-get install gtkwave
查看是否安裝成功
which iverilog
which vvp
which gtkwave
Icarus Verilog編譯器主要包含3個工具:
- iverilog:用於編譯verilog和vhdl文件,進行語法檢查,生成可執行文件
- vvp:根據可執行文件,生成仿真波形文件
- gtkwave:用於打開仿真波形文件,圖形化顯示波形
iverilog參數:
找不到調用的模塊,那么就需要指定調用模塊所在文件夾的路徑,支持相對路徑和絕對路徑。
iverilog -y ./ led_demo_tb.v
在頂層文件中加入如下代碼,否則不能生成 vcd文件
initial
begin
$dumpfile("wave.vcd"); //生成的vcd文件名稱
$dumpvars(0, modem_top); //tb模塊名稱
end
執行步驟:
iverilog -y ./ led_demo_tb.v
./ a.o
gtkwave wave.vcd