最近兩個月開始用Vivado做項目,之前一直用ISE開發,個人覺得ISE方便好用,而Vivado編譯又慢,還占內存,打開一個工程就需要好半天,可視化界面感覺也沒什么用處,不如模塊化的代碼來的簡單,而且還有一些bug。無奈xilinx公司不再開發ISE,到14.7就結束了,以后的芯片只能用Vivado做設計了,只能用它了,現在已經更新到了2014.4版本,我現在用的是2013.4版本,開發板是zedboard。
用Vivado進行硬件調試,就是要插入ila核,即“集成邏輯分析儀”,然后將想要引出來觀察的信號連到這個核的probe上。
首先第一步,需要把想要觀測的信號標記出來,即mark_debug,有兩種mark_debug的方法,我用verilog寫了一個簡單的流水燈程序,只有幾行代碼,如下:
- module main(
- input clk,
- input rst,
- output reg [7:0] led
- );
- (*mark_debug = "true"*)reg [23:0] counter;
- always @(posedge clk) begin
- if(rst) begin
- counter <= 0;
- led <= 8'b00000001;
- end
- else counter <= counter + 1;
- if (counter == 24'hffffff)
- led <= {led[6:0],led[7]};
- end
- endmodule
- signal counter : std_logic_vector (23 downto 0);
- attribute mark_debug: string;
- attribute mark_debug of counter : signal is "true";
- set_property PACKAGE_PIN Y9 [get_ports clk]
- set_property PACKAGE_PIN T18 [get_ports rst]
- set_property IOSTANDARD LVCMOS33 [get_ports clk]
- set_property IOSTANDARD LVCMOS18 [get_ports rst]
- set_property PACKAGE_PIN T22 [get_ports {led[0]}]
- set_property PACKAGE_PIN T21 [get_ports {led[1]}]
- set_property PACKAGE_PIN U22 [get_ports {led[2]}]
- set_property PACKAGE_PIN U21 [get_ports {led[3]}]
- set_property PACKAGE_PIN V22 [get_ports {led[4]}]
- set_property PACKAGE_PIN W22 [get_ports {led[5]}]
- set_property PACKAGE_PIN U19 [get_ports {led[6]}]
- set_property PACKAGE_PIN U14 [get_ports {led[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
- create_debug_core u_ila_0 labtools_ila_v3
- set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
- set_property ALL_PROBE_SAME_MU_CNT 4 [get_debug_cores u_ila_0]
- set_property C_ADV_TRIGGER true [get_debug_cores u_ila_0]
- set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
- set_property C_EN_STRG_QUAL true [get_debug_cores u_ila_0]
- set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
- set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
- set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
- set_property port_width 1 [get_debug_ports u_ila_0/clk]
- connect_debug_port u_ila_0/clk [get_nets [list clk_IBUF_BUFG]]
- set_property port_width 24 [get_debug_ports u_ila_0/probe0]
- connect_debug_port u_ila_0/probe0 [get_nets [list {counter[0]} {counter[1]} {counter[2]} {counter[3]} {counter[4]} {counter[5]} {counter[6]} {counter[7]} {counter[8]} {counter[9]} {counter[10]} {counter[11]} {counter[12]} {counter[13]} {counter[14]} {counter[15]} {counter[16]} {counter[17]} {counter[18]} {counter[19]} {counter[20]} {counter[21]} {counter[22]} {counter[23]}]]
- set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
到此為止,成功將要觀察的信號引出來,完成了插入調試內核,接着直接運行generate bitstream,即可生成bit文件。
最后一步,連上zedboard開始調試,用impact將bit文件下載到板卡上,或者在后面hardware manager中選擇program device也可以。打開hardware manager,然后open new target,一直next直到結束,即可打開Vivado硬件邏輯分析儀,如下圖所示:
要查看波形,必須要有信號觸發,將counter信號拖入右方的basic trigger setup窗口,可以設置,想要counter等於何值時觸發,右鍵counter,選擇run trigger,並將counter信號添加到波形窗口中,接着便可以在打開的波形窗口中觀察counter信號的變化。
硬件調試的流程大致如上述所示,這只是非常簡單的一個例子,作為對官網視頻教程的一個翻譯加補充吧,如果工程較大的話,debug時還會遇到各種問題,就需要一步步慢慢摸索解決啦。
參考官網視頻教程,另外在xilinx官網上也可以搜到debug的文檔:
http://china.xilinx.com/training/vivado/inserting-debug-cores-into-the-design.htm
http://china.xilinx.com/training/vivado/programming-and-debugging-design-in-hardware.htm
附加兩點我曾遇到的小問題:
(1)在進行綜合之前,需要將先將xdc約束文件添加到工程中,否則最后write bitstream時出錯。Vivado的一個問題就是,有好多ise中綜合時就能檢測出的錯誤,而Vivado要等到生成bitstream時才報錯。
(2)在打開hardware manager之后,提示vcseserver沒有開啟,在vivado/2013.4/bin下面運行vcseserver的bat程序即可。



