vivado时序调整


经过2天的努力,一个大工程的时序终于调好了。之前对时序分析总是有畏惧心理,这两天静下心来,通过查阅资料,不断测试,终于消除了所有错误

 

放个之前的图片

 

 

主要是用到了调整逻辑、约束时序的方法

create_clock -period 25.000 -name clk_main -waveform {0.000 12.500} [get_ports i_global_clk]
create_clock -period 25.000 -name clk_cfg -waveform {0.000 12.500} [get_pins design_1_i/processing_system7_0/inst/FCLK_CLK0]
create_clock -period 50.000 -name clk_20 -waveform {0.000 25.000} [get_nets u_usb30_top/CLK_20M]
create_clock -period 25.000 -name clk_40 -waveform {0.000 12.500} [get_nets u_usb30_top/CLK_40M]

##false path
set_false_path -from [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks clk_cfg]
set_false_path -from [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks clk_cfg]

set_multicycle_path -setup -from [get_clocks clk_20] -to [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT0]] 3
set_multicycle_path -hold -end -from [get_clocks clk_20] -to [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT0]] 2

set_multicycle_path -setup -start -from [get_clocks clk_40] -to [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT1]] 3
set_multicycle_path -hold -from [get_clocks clk_40] -to [get_clocks -of_objects [get_pins u_usb30_top/u_clk_rst_gen/u_clk_syn/inst/mmcm_adv_inst/CLKOUT1]] 2


免责声明!

本站转载的文章为个人学习借鉴使用,本站对版权不负任何法律责任。如果侵犯了您的隐私权益,请联系本站邮箱yoyou2525@163.com删除。



 
粤ICP备18138465号  © 2018-2025 CODEPRJ.COM