[轉]VHDL中數據類型轉換與移位(STD_LOGIC_ARITH與NUMERIC_STD)


1. VHDL目前常用庫文件

目前寫VHDL程序時,大部分人已經熟悉的庫調用如下所示:

library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --或者 use ieee.std_logic_signed.all;

這幾個庫文件的源碼可以在IEEE庫文件鏈接中查看,首先闡述一下這些文件的內容和主要作用: 
- std_logic_1164 : 聲明了std_Ulogic類型及其決斷子類型std_logic,也聲明了這種類型構成的數組std_logic_vector,還有這些類型的邏輯運算符函數。如果你需要使用std_logic類型,並只做邏輯類運算的話。就只需要聲明 LIBRARY IEEE和 USE std_logic_1164.ALL就可以了。 
- std_logic_arith : 聲明了signed和unsigned兩種數據類型。這兩種數據類型與std_logic_vector很相似,在后面詳細解釋。該庫函數只對 integer、signed、unsigned以及std_ulogic的算術運算(包括類型轉換)做了定義! 
注意:該庫函數無法對STD_LOGIC_VECTOR做任何運算。 
- std_logic_unsigned/std_logic_signed : 這兩個庫文件是對std_logic_arith 的延伸,適用與對STD_LOGIC_VECTOR進行運算,std_logic_unsigned將會把STD_LOGIC_VECTOR轉換成無符號數進行運算;而std_logic_signed 將把STD_LOGIC_VECTOR轉換成有符號數進行運算。

2. signed、unsigned以及std_logic_vector之間的區別

在講述NUMERIC_STD之前先來闡述幾點小問題。首先就是signed與unsigned這兩種數據類型。他們的定義為:

type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC;

與std_logic_vector的定義完全相同。所不同的是表示的意義不同。舉例來說:

“1001”的含義對這三者而言是不同的: 
*std_logic_vector : 簡單的四個二進制位; 
*unsigned : 代表數字9; 
*signed : 代表數字 -7(補碼表示的);

一定要重視signed與unsigned這兩種類型。

3. NUMERIC_STD

終於來到了故事的主人公: NUMERIC_STD。使用NUMERIC_STD可以完全替代std_logic_arith、std_logic_unsigned、std_logic_signed這三個庫文件! 
- 首先,NUMERIC_STD這個庫文件才是血統最正的IEEE庫文件!!上述的其他三個其實都是Synopsis 這個公司的,但是由於這個公司搶先了一步,所以占據了大量的用戶資源。 
- std_logic_arith、std_logic_unsigned、std_logic_signed的問題在於當在同一文件中同時使用signed和unsigned時,會出現函數重載的沖突,導致錯誤。 
- 其次,NUMERIC_STD是完全基於signed和unsigned所寫的算術重載函數和數據類型轉換函數。不管是INTEGER還是STD_LOGIC_VECTOR要進行算術運算,都必須轉換為signed和unsigned兩種數據類型。 
數據類型轉換函數 
數據類型轉換總表 
下面舉個例子來說明NUMERIC_STD庫的使用。

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity decode is port ( DIN : IN STD_LOGIC_VECTOR(5 DOWNTO 0); EN : IN STD_LOGIC; DOUT : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); end decoder; architecture behave of decoder is begin DOUT <= std_logic_vector(to_unsigned(0,64)); if EN='1' then DOUT(to_integer(unsigned(DIN))) <= '1'; end if; end process; end behave;

4. shift_left() and shift_right()

雖然有srl, sll, sra, sla這幾個移位操作符,但是這幾個操作符已經被 shift_left() and shift_right()這兩個函數所取代,原因移位操作運算符的發展歷史

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Needed for shifts entity example_shift is end example_shift; architecture behave of example_shift is signal r_Shift1 : std_logic_vector(3 downto 0) := "1000"; signal r_Unsigned_L : unsigned(3 downto 0) := "0000"; signal r_Unsigned_R : unsigned(3 downto 0) := "0000"; signal r_Signed_L : signed(3 downto 0) := "0000"; signal r_Signed_R : signed(3 downto 0) := "0000"; begin process is begin -- Left Shift r_Unsigned_L <= shift_left(unsigned(r_Shift1), 1); r_Signed_L <= shift_left(signed(r_Shift1), 1); -- Right Shift r_Unsigned_R <= shift_right(unsigned(r_Shift1), 2); r_Signed_R <= shift_right(signed(r_Shift1), 2); wait for 100 ns; end process; end architecture behave;

shift_left() and shift_right()具體用法在此不贅述。

5. 總結

我個人覺得,雖然NUMERIC_STD有時候操作有點繁瑣,但是更加規矩,並且可以有效避免一些錯誤,所以我覺得今后應該首選使用該庫文件。


免責聲明!

本站轉載的文章為個人學習借鑒使用,本站對版權不負任何法律責任。如果侵犯了您的隱私權益,請聯系本站郵箱yoyou2525@163.com刪除。



 
粵ICP備18138465號   © 2018-2025 CODEPRJ.COM