原文:用Modelsim產生/打開VCD文件的方法

很多時候,需要把VCS仿真的波形導入到Modelsim中進行觀察,這個時候無法直接查看,因為Modelsim只支持.wlf波形文件,所以需要做格式轉換。 VCS仿真后的波形可保存為VCD value change dump:值變轉儲 文件,是ASCII格式,該文件包含設計中指定變量的取值變化信息。包括文件頭信息 節點變化 取值變化。那么如何用Modelsim來打開該文件呢 用Modelsim打開V ...

2021-02-27 16:36 0 476 推薦指數:

查看詳情

各種波形文件vcd,vpd,shm,fsdb生成的方法(zz)

仿真是IC設計不可或缺的重要步驟,仿真后一般需要記錄下波形文件,用於做詳細分析和研究。說一下幾種波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 對於WLF波形日志文件 ...

Fri Jun 09 23:41:00 CST 2017 0 1598
各種波形文件vcd,vpd,shm,fsdb生成的方法(zz)

仿真是IC設計不可或缺的重要步驟,仿真后一般需要記錄下波形文件,用於做詳細分析和研究。說一下幾種波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 對於WLF波形日志文件 ...

Wed Nov 14 19:43:00 CST 2012 0 11533
各種波形文件(wlf/vcd/fsdb/shm/vpd)的區別及生成方法(轉)

仿真是IC設計不可或缺的重要步驟,仿真后一般需要記錄下波形文件,用於做詳細分析和研究。說一下幾種波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 對於WLF波形日志文件 ...

Tue Nov 15 18:41:00 CST 2016 0 1851
芯片功耗分析之生成vcd、saif文件

1、生成vcd文件 后仿時,在testbench上加 //---------------------------------vcd save--------------------initial begin $dumpvars(); $dumpfile("name.vcd"); end ...

Thu Aug 05 21:48:00 CST 2021 0 144
modelsim 保存波形文件

vsim.wlf打開,再命令窗口輸入:do run_wave.do 具體操作: 1.打開modelsim ...

Fri May 26 18:21:00 CST 2017 0 4411
[轉]關於Modelsim 的生成的文件

文章來源:http://www.eefocus.com/ican/blog/10-10/196941_ebbde.html 波形文件的三個術語:VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件 ...

Sat Dec 01 00:39:00 CST 2012 0 3042
modelsim讀寫TXT文件

//open the file Initial Begin step_file = $fopen("F:/Company/Src/txt/step.v","r"); ...

Mon Jun 13 17:08:00 CST 2016 0 2605
 
粵ICP備18138465號   © 2018-2025 CODEPRJ.COM