原文:用Modelsim产生/打开VCD文件的方法

很多时候,需要把VCS仿真的波形导入到Modelsim中进行观察,这个时候无法直接查看,因为Modelsim只支持.wlf波形文件,所以需要做格式转换。 VCS仿真后的波形可保存为VCD value change dump:值变转储 文件,是ASCII格式,该文件包含设计中指定变量的取值变化信息。包括文件头信息 节点变化 取值变化。那么如何用Modelsim来打开该文件呢 用Modelsim打开V ...

2021-02-27 16:36 0 476 推荐指数:

查看详情

各种波形文件vcd,vpd,shm,fsdb生成的方法(zz)

仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 对于WLF波形日志文件 ...

Fri Jun 09 23:41:00 CST 2017 0 1598
各种波形文件vcd,vpd,shm,fsdb生成的方法(zz)

仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 对于WLF波形日志文件 ...

Wed Nov 14 19:43:00 CST 2012 0 11533
各种波形文件(wlf/vcd/fsdb/shm/vpd)的区别及生成方法(转)

仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 对于WLF波形日志文件 ...

Tue Nov 15 18:41:00 CST 2016 0 1851
芯片功耗分析之生成vcd、saif文件

1、生成vcd文件 后仿时,在testbench上加 //---------------------------------vcd save--------------------initial begin $dumpvars(); $dumpfile("name.vcd"); end ...

Thu Aug 05 21:48:00 CST 2021 0 144
modelsim 保存波形文件

vsim.wlf打开,再命令窗口输入:do run_wave.do 具体操作: 1.打开modelsim ...

Fri May 26 18:21:00 CST 2017 0 4411
[转]关于Modelsim 的生成的文件

文章来源:http://www.eefocus.com/ican/blog/10-10/196941_ebbde.html 波形文件的三个术语:VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件 ...

Sat Dec 01 00:39:00 CST 2012 0 3042
modelsim读写TXT文件

//open the file Initial Begin step_file = $fopen("F:/Company/Src/txt/step.v","r"); ...

Mon Jun 13 17:08:00 CST 2016 0 2605
 
粤ICP备18138465号  © 2018-2025 CODEPRJ.COM